An Introduction to High Density Interconnect PCBs

Posted by

How are HDI PCBs Manufactured?

The HDI PCB manufacturing process involves building up the interconnect structure layer by layer on the surface of the board. This is known as sequential lamination or a built-up process. The typical steps are:

  1. Starting with a core PCB panel
  2. Drilling any through-holes
  3. Imaging and etching the outer circuit layers
  4. Applying a dielectric layer over the outer circuitry
  5. Laser drilling microvias in the dielectric
  6. Plating the microvias to form connections to the lower circuit layer
  7. Imaging and plating the next circuit layer on top of the dielectric
  8. Repeating steps 4-7 to stack up additional layers as needed
  9. Finishing with soldermask, silkscreen, and surface finish

This sequential build-up process allows for very high interconnect densities. Laser-drilled microvias are filled and stacked to connect the layers without blocking additional routing channels. Controlled depth drilling techniques like skip vias are used to link non-adjacent layers.

Types of HDI Vias

The different types of vias used in HDI PCBs include:

Through Vias

Traditional vias that go through the entire board from top to bottom. Drilled mechanically. Larger than other HDI via types.

Blind Vias

Vias visible only on one side of the board. Connect outer layers to inner layers. Fabricated by controlled depth mechanical or laser drilling.

Buried Vias

Vias connecting inner layers only. Not visible from the outside of the board. Formed by drilling before laminating another layer on top.

Microvias

Very small blind vias, typically less than 150 µm in diameter. Drilled with lasers. Used to interconnect the high density outer HDI layers.

Stacked Vias

Microvias stacked on top of each other to connect circuit layers through multiple HDI buildup layers. Enable high-density interconnects.

Staggered Vias

Microvias that are staggered or offset from each other. Used to increase spacing between vias and allow additional traces to be routed between them.

Skip Vias

Vias that intentionally skip or bypass one or more circuit layers to connect two other layers. Requires very precise depth controlled drilling.

Here is a summary table of the different HDI via types and their characteristics:

Via Type Location Formation Method Typical Size Special Traits
Through Entire PCB Mechanical drill > 200 µm Conventional
Blind One side Mechanical/laser 150-250 µm Depth control
Buried Inner only Mechanical/laser 100-200 µm Laminated over
Microvia One side Laser < 150 µm High density
Stacked Buildup Laser < 100 µm Layer to layer
Staggered Buildup Laser < 100 µm Offset pattern
Skip Inner Laser 50-150 µm Bypass layers

HDI PCB Design Considerations

Designing HDI PCBs requires careful planning and adherence to various manufacturing constraints. Some key PCB layout considerations include:

Trace Width and Spacing

HDI PCBs have much finer trace widths and spacing than traditional boards. Typical line widths range from 25-100 µm (1-4 mils). Spacing between traces is similarly small. The actual achievable trace dimensions depend on the PCB manufacturer’s capabilities.

Pad Size and Via Annular Ring

The pad sizes and Annular rings around vias must be minimized for high density. Typical microvia pad sizes range from 100-350 µm. The annular ring of copper around the edge of the pad is typically 25-50 µm wide. Smaller pads allow for tighter pitch between vias.

SMD Pad Pitch

The pitch or spacing between surface mount pads is an important metric for HDI component packaging. Fine-pitch components like chip scale packages (CSPs) and ball grid arrays (BGAs) are common in HDI designs. Typical pitches range from 0.3-0.8 mm depending on the component and PCB fabrication technology.

Differential Pairs

High speed digital signals in HDI PCBs are often routed as differential pairs. These coupled traces must adhere to strict impedance and length matching rules. The width and spacing of the traces must be carefully calculated and controlled based on the layer stackup to maintain the target impedance.

Controlled Impedance

Managing the impedance of PCB traces is critical for maintaining signal integrity at high frequencies. HDI PCBs often have controlled impedance traces whose width is tuned to the layer stackup to hit a specific impedance target (like 50 ohms). Requiring tight impedance control (±10%) necessitates very precise trace geometries and dielectric thicknesses.

Microvia Aspect Ratio

The ratio of a microvia’s depth to its diameter is called its aspect ratio. Most PCB manufacturers have a maximum allowable aspect ratio that they can reliably produce, typically around 0.8:1. This constrains how small the microvias can be for a given dielectric thickness. Stacked microvias can be used to traverse thicker dielectrics.

Microvia-in-Pad

Putting microvias directly inside SMD pads is a technique for saving space in ultra-dense HDI designs. The microvia is filled with plating to create a smooth surface for soldering. Microvia-in-pad requires precise via drilling and filling, so not all fabricators support it. It also constrains where vias can be placed and routed.

HDI PCB Layer Stackup Design

Creating the layer stackup is one of the most important aspects of HDI PCB construction. The stackup defines the physical structure and arrangement of the circuit layers, dielectrics, vias, and planes that make up the board. Careful stackup design is necessary to meet the electrical and mechanical requirements of the circuit while adhering to manufacturing constraints and controlling fabrication costs.

A typical HDI PCB Stackup consists of a central core of thicker FR-4 dielectric and one or more buildup layers of thinner high-density interconnect on either side. The buildup layers are connected to each other and the core with staggered or stacked microvias. Here is an example 3-2-3 HDI stackup with three buildup layers per side:

Layer Material Thickness (µm) Vias
1 Copper 17.5 Microvia to 2 and 3
2 Prepreg 60 Blind via to 3
3 Copper 17.5 Buried via to 4
4 Core 800 Through via to 9
5 Copper 35
6 Prepreg 120
7 Copper 35 Buried via to 8
8 Prepreg 60 Blind via to 9
9 Copper 17.5 Microvia to 8 and 7

Some key aspects of HDI stackup design to consider include:

  • Number of signal layers needed to route the circuit
  • Impedance targets for controlled impedance traces
  • Dielectric constant (Dk) and loss tangent (Df) of the materials
  • Thickness and structure of the power and ground planes
  • Via span, or the number of layers each via type must traverse
  • Symmetry and balance of the stackup to prevent warpage
  • Drilling, alignment, and plating aspect ratio limitations
  • Material availability and compatibility with the fabrication process
  • Cost versus performance tradeoffs of additional layers

Well-designed HDI stackups provide clean power distribution, good signal integrity, sufficient routing density, and mechanical robustness. But they require careful planning and close collaboration with the PCB manufacturer to ensure manufacturability and reliability.

Advantages of HDI PCBs

HDI PCB technology offers many benefits over conventional PCBs, including:

Miniaturization

The primary advantage of HDI is the ability to minimize the size and weight of the circuit board while maintaining high functionality. With finer traces and smaller vias, components can be packed closer together. Stacked microvias provide high-density vertical interconnects. This allows more circuitry to fit in a smaller footprint, enabling compact product designs.

High Speed

HDI PCBs provide excellent signal integrity for high speed designs. The smaller size reduces trace lengths and minimizes propagation delay, crosstalk, and emissions. Fine impedance-controlled traces maintain consistent characteristic impedance for fast rise times. Microvias have much lower parasitic inductance and capacitance than larger vias. All of this enables clean signaling at high frequencies.

Design Flexibility

The high wiring density of HDI stackups provides more flexible routing options. Traces can be run through the microvias rather than around them. Controlled depth vias can make connections across multiple layers without having to go through the entire board. Blind and buried vias free up space on the outer layers for components. More layers can be added to increase routing density as needed.

Power Integrity

With HDI PCBs, power delivery networks (PDNs) can be optimized for low impedance. Thin dielectrics between the planes lower the PDN inductance. Multiple power and ground layers can be stacked to increase inter-plane capacitance. Microvias and blind vias distribute current more evenly with lower IR drop. This provides a stable power supply for sensitive high speed chips.

Reliability

Despite their high density, HDI PCBs can be very reliable when designed and fabricated properly. The use of blind and buried vias reduces the number of holes through the board that can cause structural weakness. Filling the microvias with plating increases the mechanical strength. The elimination of BGA vias and thermal reliefs reduces stress concentrations. And the smaller size reduces mechanical flexing.

Cost Reduction

While HDI PCBs with many layers can be expensive to fabricate, they often provide the lowest total cost for complex designs. Shifting to HDI allows consolidation of the circuitry into fewer layers. The reduction in board size saves material cost. Buried and blind vias eliminate the need for BGA fanouts and through-hole vias. And the increased density enables the use of smaller and lower cost components.

Disadvantages of HDI PCBs

Despite their many benefits, HDI PCBs also have some drawbacks and limitations, such as:

Higher Fabrication Cost

The specialized materials, equipment, and processes used for HDI fabrication are more expensive than those for standard PCBs. Multiple lamination cycles, laser drilling, Via Filling, and extra testing increase the cost per unit. This is especially true for boards with a high layer count or sequential laminations. But the total cost is often lower than an equivalent conventional design.

Longer Lead Times

Because of their complex stackups and high precision requirements, HDI PCBs generally take longer to manufacture than standard boards. The sequential lamination process requires multiple drilling, plating, and pressing steps that add to the fabrication time. Yields are usually lower, so production may require multiple passes. Lead times of 3-5 weeks are common for HDI compared to 1-2 weeks for standard PCBs.

Fewer Fabricators

Not all PCB manufacturers are capable of producing HDI PCBs reliably. HDI requires specialized equipment and engineering expertise that many conventional PCB shops do not have. Those that do often specialize in certain industries and may not be a good fit for all projects. So the pool of potential HDI fabricators to choose from is much smaller than for standard PCBs.

Laminate Limitations

The thin laminates and prepregs used for HDI buildup layers have some limitations. They are less mechanically robust than standard FR-4. Ultrathin materials in the 30-60 µm range are relatively new and have lower TG and poorer dimensional stability. This can cause issues with thermal cycling, moisture absorption, and impedance control. The thinner materials are also more likely to warp during lamination.

Printed Electronics Incompatible

HDI PCBs are not compatible with printed electronics technologies like ink-jet and screen printing. The fine features are too small to be printed accurately. And the thin materials are too smooth for the inks to adhere well. So components must be assembled with standard SMT processes. Printed electronics are better suited for less dense flexible circuits and electroluminescent panels.

Testing and Inspection Difficulty

The small traces, microvias, and dense components of HDI PCBs make testing and inspection more difficult. Probing inner layers requires specialized equipment and techniques. Automated optical inspection (AOI) must be very precise to detect defects. X-ray inspection is often necessary for hidden vias and solder joints. Boundary scan and capacitance testing help verify the dense interconnects.

FAQ

What is the difference between HDI and standard PCBs?

HDI PCBs have much finer trace widths and spaces (typically <100 µm), smaller vias (<150 µm), higher connection pad density (>20 pads/cm2), and use sequential lamination to build up layers. Standard PCBs use larger geometries (>100 µm) and typically have just one lamination cycle. HDI PCBs enable higher interconnect density and performance than standard PCBs.

Do I need to use HDI for my design?

It depends on the specific requirements of your application. HDI is often necessary for designs with high layer counts (>8), dense BGA Components (>0.8 mm pitch), or high speed interfaces (>1 Gbps). But many simpler designs can be accomplished with standard PCB technology. A cost-benefit analysis should be done to determine if HDI is appropriate for your project.

How much do HDI PCBs cost compared to standard PCBs?

The material and fabrication costs for HDI PCBs are typically 20-50% higher than for equivalent layer count standard PCBs. However, the total cost is often lower because the high density allows the design to be consolidated into fewer layers. A 16-layer standard PCB might be reduced to a 10-layer HDI board with lower total cost. The cost increases with the number of sequential lamination cycles.

What are the most common HDI materials and layer stackups?

Most HDI PCBs use a core of FR-4 laminate (100-400 µm thick) with 2-4 buildup layers of thinner high Tg laminate or prepreg (20-60 µm thick) on each side. The buildup layers use very thin copper foils (9-18 µm). Alternative laminates like Megtron 6 or APPE may be used for improved thermal performance and signal integrity. The stackup is tailored to the routing and impedance requirements.

What are the standard design rules and constraints for HDI?

The specific design rules depend on the fabricator and materials, but typical values are:

  • Minimum trace width: 50-75 µm (2-3 mils)
  • Minimum trace spacing: 50-75 µm (2-3 mils)
  • Minimum microvia diameter: 75-100 µm (3-4 mils)
  • Maximum microvia aspect ratio: 0.8:1
  • Minimum annular ring: 25-50 µm (1-2 mils)
  • Minimum solder mask web: 50-75 µm (2-3 mils)
  • Minimum dielectric thickness: 30-50 µm (1-2 mils)

Most fabricators have detailed design guides with their specific HDI rules and constraints. Following these rules is critical to ensuring manufacturability and reliability.

Leave a Reply

Your email address will not be published. Required fields are marked *

Categories

Tag Cloud

There’s no content to show here yet.